library ieee; use ieee.std_logic_1164.all; library proasic3e; use proasic3e.all; entity OBUFDS is port ( I : in std_logic; O : out std_logic; OB : out std_logic); end OBUFDS; architecture a of OBUFDS is COMPONENT OUTBUF_LVDS port( D : in STD_ULOGIC; PADP : out STD_ULOGIC; PADN : out STD_ULOGIC); END COMPONENT; begin iob: OUTBUF_LVDS port map(D => I, PADP => O, PADN => OB); end;