library ieee; use ieee.std_logic_1164.all; entity cbb_top is port ( RST_n : in std_logic; -- power up reset from the DS1818 -- clock input from the DCS board CLK40in : in std_logic; CLK40out : out std_logic; CLK80out : out std_logic; -- SCSN I/O to DCS board SCSNIN : in std_logic; SCSNOUT : out std_logic; -- SCSN I/O to TLMU IF17x_SCSN_IN : in std_logic; IF17x_SCSN_OUT : out std_logic; -- TTCrx L1ACCEPT : in std_logic; B_Channel : in std_logic; IO_C0 : in std_logic; -- SOR/EOR signal from DCS board -- TTCex A_ECL : out std_logic; B_ECL : out std_logic; BC_ECL : out std_logic; CB_TOF : out std_logic; L_OUTSP : out std_logic; -- spare to TLMU -- PIM PIMLINK : out std_logic_vector(2 downto 0); -- GTU BUSY : in std_logic_vector(1 downto 0); -- 0 is rising edge, 1 falling -- Two RJ-45 connectors with LVDS signals S1_IN : in std_logic_vector(1 to 2); S1_OUT : out std_logic_vector(1 to 2); S2_IN : in std_logic_vector(1 to 2); S2_OUT : out std_logic_vector(1 to 2); -- TLMU interface -- CLK40T : out std_logic; -- clock to the TLMU RESET_TOFFPGA : out std_logic; CNRRL : out std_logic; -- enable for TLMU interface -- used for primary/backup switching -- SCSN pass through to TLMU SCSNFEBIN : in std_logic; SCSNFEBOUT : out std_logic; -- TLMU trigger inputs TLMU_trg : in std_logic_vector(7 downto 0); -- flow control I/Os SPA : out std_logic; SPB : out std_logic; -- input from CB-A/C CB_A : in std_logic_vector(1 downto 0); CB_C : in std_logic_vector(1 downto 0); -- 0 is rising edge, 1 falling -- -- bidir bus to the SIU -- fbDin : out std_logic_vector (31 downto 0); -- fbDout : in std_logic_vector (31 downto 0); -- fbIEN : out std_logic; -- fbOEN : in std_logic; -- fbICTRL : out std_logic; -- fbOCTRL : in std_logic; -- fiBEN_N : in std_logic; -- fiDIR : in std_logic; -- fiLF_N : in std_logic; -- foBSY_N : out std_logic; -- foCLK : out std_logic; -- Front-Panel LEDs LED : out std_logic_vector(1 to 2); SPC : in std_logic; SPD : in std_logic); --component -- serial 7-channel ADC ADC78H89 to monitor the supply voltages -- ADC_CSN : out std_logic; -- ADC_SCLK : out std_logic; -- ADC_SDI : in std_logic; -- ADC_SDO : out std_logic; -- -- -- Dallas 1-Wire Temperature Sensor DS18B20, bidirectional cell -- TSENS_o : out std_logic; -- output -- TSENS_e : out std_logic; -- output enable -- TSENS_i : in std_logic; -- input -- -- -- SFP Module I2C and present -- SFP_PRESENT : in std_logic; -- SFP_SCL : out std_logic; -- SFP_SDA_i : in std_logic; -- SFP_SDA_o : out std_logic; -- SFP_SDA_e : out std_logic; -- attribute BLACK_BOX : boolean; attribute BLACK_BOX of cbb_top : entity is true; end cbb_top; architecture black_box of cbb_top is begin end;