library ieee; use ieee.std_logic_1164.all; entity adc2scsn is port ( RST_n : in std_logic; -- power up reset from the DS1818 -- clock input from the DCS board CLK40in : in std_logic; -- SCSN I/O to DCS board SCSNIN : in std_logic; SCSNOUT : out std_logic; -- bidir bus to the SIU fbDin : out std_logic_vector (31 downto 0); fbDout : in std_logic_vector (31 downto 0); fbIEN : out std_logic; fbOEN : in std_logic; fbICTRL : out std_logic; fbOCTRL : in std_logic; fiBEN_N : in std_logic; fiDIR : in std_logic; fiLF_N : in std_logic; foBSY_N : out std_logic; foCLK : out std_logic; -- serial 7-channel ADC ADC78H89 to monitor the supply voltages ADC_CSN : out std_logic; ADC_SCLK : out std_logic; ADC_SDI : in std_logic; ADC_SDO : out std_logic; -- Dallas 1-Wire Temperature Sensor DS18B20, bidirectional cell TSENS_o : out std_logic; -- output TSENS_e : out std_logic; -- output enable TSENS_i : in std_logic; -- input -- SFP Module I2C and present SFP_PRESENT : in std_logic; SFP_SCL : out std_logic; SFP_SDA_i : in std_logic; SFP_SDA_o : out std_logic; SFP_SDA_e : out std_logic); attribute BLACK_BOX : boolean; attribute BLACK_BOX of adc2scsn : entity is true; end adc2scsn; architecture black_box of adc2scsn is begin end;