library ieee; use ieee.std_logic_1164.all; package my_utilities is function inc(s : std_logic_vector) return std_logic_vector; function dec(s : std_logic_vector) return std_logic_vector; end my_utilities; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package body my_utilities is function inc(s : std_logic_vector) return std_logic_vector is begin return s + 1; end; function dec(s : std_logic_vector) return std_logic_vector is begin return s - 1; end; end my_utilities;