library ieee; use ieee.std_logic_1164.all; package my_conversions is function bool2sl(bl : boolean) return std_logic; function int2slv(i : Integer; size : Positive) return std_logic_vector; end my_conversions; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package body my_conversions is function bool2sl(bl : boolean) return std_logic is begin if (bl) then return '1'; else return '0'; end if; end; function int2slv(i : Integer; size : Positive) return std_logic_vector is begin return conv_std_logic_vector(i, size); end; end my_conversions;