library ieee; use ieee.std_logic_1164.all; package ds_pack is constant reset : std_logic_vector( 3 downto 0) := X"0"; constant rd_cmd : std_logic_vector( 3 downto 0) := X"1"; constant wr_cmd : std_logic_vector( 3 downto 0) := X"2"; constant h_high : std_logic_vector( 3 downto 0) := X"3"; constant stop : std_logic_vector( 3 downto 0) := X"4"; end ds_pack; package body ds_pack is end ds_pack;