library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity MULTIPLEXER is Port ( E0 : in STD_LOGIC; E1 : in STD_LOGIC; E2 : in STD_LOGIC; E3 : in STD_LOGIC; SEL : in STD_LOGIC_VECTOR(1 downto 0); RES : out STD_LOGIC); end MULTIPLEXER; architecture Behavioral of MULTIPLEXER is begin process (SEL,E0,E1,E2,E3) begin case SEL is when "00" => RES <= E0; when "01" => RES <= E1; when "10" => RES <= E2; when others => RES <= E3; end case; end process; end Behavioral;