BUILDSTAMP = ./buildstamp.vhd SVN_REV = $(shell test -d .svn && svn info | grep '^Revision' | sed -e 's/Revision: *//') SVN_STATUS = $(shell test -d .svn && svn status) ifeq ($(strip $(SVN_REV)),) SVN_REV = 0 endif SVN_CLEAN = 0 ifeq ($(strip $(SVN_STATUS)),) SVN_CLEAN = 1 endif synth: @echo "Updating buildstamp" @/bin/echo -e "\ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all;\n\n\ package buildstamp is\n\ constant build_date : std_logic_vector(31 downto 0) := x\""$(shell date +%Y%m%d)"\";\n\ constant build_time : std_logic_vector(15 downto 0) := x\""$(shell date +%H%M)"\";\n\ constant build_rev : std_logic_vector(15 downto 0) :=\n\ std_logic_vector(to_unsigned("$(SVN_REV)", 16));\n\ constant build_clean : std_logic := '"$(SVN_CLEAN)"';\n\ end buildstamp;\n\n\ package body buildstamp is end buildstamp;" > $(BUILDSTAMP) -rm -rf precision NETLIST/* REPORTS/* mkdir precision precision -shell -rtlplus -file ../../arch/actel/script/read_prec.tcl -logfile ./REPORTS/precision.log