`timescale 1 ns/1 ns module TestBench(); wire clk; BC_clk bc(clk); wire [31:0]rnd; integer f; integer g; parameter amount = 1000000; parameter lowerLimit = 800000; reg [31:0] counter; MersenneTwister mt( .clk(clk), .rnd(rnd)); initial begin counter <= 0; f = $fopen("rnd_numbers.txt","w"); g = $fopen("rnd_numbers_bad.txt","w"); end always @(posedge clk) begin counter <= counter + 1; if (counter > lowerLimit) $fwrite(f,"%d\n",rnd); else $fwrite(g,"%d\n",rnd); if (counter%10 == 0) $display("%d, %d", counter, rnd); if (counter == lowerLimit+amount) begin $finish; end end endmodule