`timescale 1 us/1 ns module TestBench(); wire clk; wire clk_BC; FastClk fast(clk); BC_clk slow(clk_BC); reg reset; reg test; reg [9:0] on; wire [9:0] LEDs; LED_control LED( .clk(clk), .clk_BC(clk_BC), .reset(reset), .test(test), .on(on), .LEDs(LEDs)); integer seed; integer seed_2; initial begin reset <= 1; test <= 0; on <= 0; seed <= 10; seed_2 <= 10; #0.025 reset <= 0; #5000000 $finish; end always @(posedge clk) begin if ($dist_uniform(seed_2,0,10000) < 10) on <= $dist_exponential(seed,50); else on <= 0; end always begin #100 $display("Time: %tus.",$time/1000000.0); end endmodule