------------------------------------------------------------------------------------------------------------------ -- -- Projekt I2C SLAVE -- mit Anschluss an existierendes Design -- -- Autor Jörg Betz -- -- Datum 13.09.2005 -- -- -- Info Multiplexer für I2C Slave -- -- -- Historie ------------------------------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity mux8to1_8b is port ( -- Eingänge I_0 : in std_logic_vector (7 downto 0); I_1 : in std_logic_vector (7 downto 0); I_2 : in std_logic_vector (7 downto 0); I_3 : in std_logic_vector (7 downto 0); I_4 : in std_logic_vector (7 downto 0); I_5 : in std_logic_vector (7 downto 0); I_6 : in std_logic_vector (7 downto 0); I_7 : in std_logic_vector (7 downto 0); -- Select s : in std_logic_vector (2 downto 0); -- Ausgang y : out std_logic_vector (7 downto 0) ); end; architecture behave of mux8to1_8b is begin with s select y <= I_0 when "000", I_1 when "001", I_2 when "010", I_3 when "011", I_4 when "100", I_5 when "101", I_6 when "110", I_7 when "111", (others => '-') when others; end behave;