------------------------------------------------------------------------------- -- -- Projekt I2C SLAVE -- -- Autor Jörg Betz -- -- Datum 13.09.2005 -- -- Info I2C Package fuer Synthese -- enthaelt hauptsaechlich Typ-Deklarationen -- (Fehlertypen ...) -- -- Historie -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package i2c_syn_pkg is -- Typen ----------------------------------------------------- subtype BYTE is std_logic_vector(7 downto 0); subtype SLV_ADR_TYPE is integer range 0 to 127; end package; package body i2c_syn_pkg is end;